PoS - Proceedings of Science
Volume 299 - The 7th International Conference on Computer Engineering and Networks (CENet2017) - Session V - Date Analysis
Designing A Unified Architecture Graphics Processing Unit
L. Wu*, L. Huang and T. Xiong
Full text: pdf
Pre-published on: July 17, 2017
Published on: September 06, 2017
Abstract
Graphics Processing Unit (GPU) performs graphics computing and its architecture has developed from the fixed function pipeline to the programmable unified pipeline. Unified architecture promises dynamic load balancing and guarantees the high parallel computing of GPU. This paper presents the design and implementation of a unified architecture GPU. The unified shader is based on the SIMD and SIMT architecture. On the thread level, SIMT guarantees the full-load capability of unified shader by thread managing and scheduling. On the instruction level, SIMD controls the execution of the unified shader hardware unit. We finish the algorithm, architecture design and Verilog RTL implementation. The verification results on FPGA show that the proposed GPU works correctly and its vertex and fragment processing speed reaches one unit per clock cycle.
DOI: https://doi.org/10.22323/1.299.0079
How to cite

Metadata are provided both in "article" format (very similar to INSPIRE) as this helps creating very compact bibliographies which can be beneficial to authors and readers, and in "proceeding" format which is more detailed and complete.

Open Access
Creative Commons LicenseCopyright owned by the author(s) under the term of the Creative Commons Attribution-NonCommercial-NoDerivatives 4.0 International License.